我是GHDL软件的新手。为Windows下载ghdl后,我检查了...
我正在尝试重新创建交通灯。而且似乎我的程序中有某...
我有问题。我有一个 <code>array</code>...
简短的问题: 我编写了一个以信号整数作为参数的函数...
当我尝试分析测试平台文件时出现此错误 (ghdl -a de...
我是 VHDL 的新手,我正在尝试生成这些脉冲 compt、...
在详细说明 ghdl 后,我收到以下错误: <pre>...
我一直在做我的家庭作业,我们必须创建一个奇偶校验...
我正在使用 GHDL 学习 VHDL。我正在从这里关注 GHDL...
我正在尝试使用基于 GHDL 中的 NAND 逻辑的两个 CLK...
我有一个用<em>非</em>门构建的简单时钟...
我正在尝试通过命令提示符在 GTKWave 上运行以下代码...
<a href="https://i.stack.imgur.com/YOaTY....
我使用 ieee.std_logic_unsigned 包编写了代码,现在...