GHDL -fsynopsys 和 -fexplicit 选项

问题描述

我使用 ieee.std_logic_unsigned 包编写了代码,现在我在编译它时遇到了问题。有没有办法同时使用选项 --fsynopsys 和 -fexplicit 因为我需要它们来消除错误?谢谢

解决方法

ghdl -a --ieee=synopsys -fexplicit filename.vhd
,

您不应将 std_logic_unsigned 用于新代码。请改用 numeric_std_unsigned。这才是真正的 IEEE 包。见When to use VHDL library std_logic_unsigned and numeric_std?