我的 UVM 测试台中有一个接口,它由多个 nmos 实例组...
以下代码是确定性的吗?即它可以触发错误1或错误2吗...
我正在尝试为 SystemVerilog 符号编写一个通用的寄存...
我正在尝试从 uvm 序列中强制发送信号。我正在使用 ...
我有一个寄存器队列,我用内存映射中的寄存器实例化...
我正在尝试在驱动程序的 run_phase 中使用信号量、f...
bit [31:0] queue_1[$]; 我从上面的表达式中了解到,...
对于测试包中包含的测试用例,UVM 测试用例的工厂注...
在 System Verilog UVM 中,TLM 端口中用于序列和驱...
我试图随机化关联数组的内容并遇到错误: “在约束中...
是否有系统 verilog 结构可以在 verilog 事件队列中...
我正在尝试为 VDU(视频显示单元)实现监视器,并且...
我需要通过其中定义的 type_id 过滤所有 uvm_info 日...
iam 试图捕获 uvm 运行阶段的时间并在 uvm 检查阶段...