如何确定在VHDL中是否设置了STD_LOGIC_VECTOR中的多个位

我想知道如何确定4位STD_LOGIC_VECTOR的多于一位是否设置为“1”.

例如,如果它是“1001”或“1100”或“1111”.

我正在编写一个程序,如果我向我的实体获得多个控制信号,我必须将错误信号设置为’1′.四个控制信号已合并为一个4位STD_LOGIC_VECTOR,我需要一种智能方法来确定是否设置了多个位.

解决方法

我用它解决

with selvec select
ERR <=  '0' when "0001",'0' when "0010",'0' when "0100",'0' when "1000",'0' when "0000",'1' when others;

不是最新的代码,但它可以解决问题.

相关文章

HashMap是Java中最常用的集合类框架,也是Java语言中非常典型...
在EffectiveJava中的第 36条中建议 用 EnumSet 替代位字段,...
介绍 注解是JDK1.5版本开始引入的一个特性,用于对代码进行说...
介绍 LinkedList同时实现了List接口和Deque接口,也就是说它...
介绍 TreeSet和TreeMap在Java里有着相同的实现,前者仅仅是对...
HashMap为什么线程不安全 put的不安全 由于多线程对HashMap进...