Chisel支持很好哪些FPGA供应商板?

问题描述

Chisel支持(很好)哪些FPGA供应商板?市场上大多数FPGA是否得到通用支持?还是我们在购买时需要注意一些细节?如果是这样,我们应该注意什么?

我真的需要一个硬件套件,以最大程度地减少仿真与实际合成层之间的差距和麻烦。谢谢!

解决方法

Chisel产生了Verilog 2001的可合成子集,所有FPGA和FPGA工具供应商都支持它。

通过示例,您可以为逆变器编写Chisel代码,并使用该代码生成Verilog:

import chisel3._
import chisel3.stage.ChiselStage

class Inverter extends RawModule {
  val in = IO(Input(Bool()))
  val out = IO(Output(Bool()))
  
  out := !in
}

(new ChiselStage).emitVerilog(new Inverter)

产生的Verilog显示在这里:

module Inverter(
  input   in,output  out
);
  assign out = ~in; // @[main.scala 10:10]
endmodule

此Verilog文件随后可在任何支持Verilog的FPGA工具链中使用(开放源代码或封闭源代码)。您将需要编写工具链所需的关联抵押品(实现约束文件等)。功能验证可以通过多种方式完成,例如,为生成的Verilog编写Verilog / SystemVerilog测试平台,针对基于Python的测试平台使用cocotb,或使用Chisel测试库之一(实际上在Verilog上运行测试) ),例如ChiselTest。 ChiselTest实际上使用Verilator作为其可能的后端之一,这意味着您在ChiselTest中编写的测试将在Verilator编译的二进制文件上运行。这是在生成的Verilog和仅提取Verilog的工具之间紧密耦合的具体示例。

注意:这将FPGA供应商工具视为“ Verilog到位流编译器”,而不是“集成开发环境”。通过这种观点,FPGA供应商工具支持任何类似类型的HDL,包括但不限于:ClashnmigenSpinalHDL。为了获得IDE支持,Java IDE将更适合进行实际的Chisel开发,例如,具有Scala金属的Emacs或IntelliJ Idea。

,

我知道,任何供应商工具都不支持Chisel。 FPGA可以由开源编译器编程,这些编译器可以原生支持凿子(我没有找到任何东西,但是那没有)。

现在它仍然可以很好地用于FPGA设计,compiler将生成所有FPGA供应商工具都支持的Verilog。 在那种情况下,如您所见,您距离最小化Simu和合成之间的差距还很远!但是在低级RTL语言上使用凿子提供的优势可能会在很多情况下克服这些差异。

由于您在问这个问题,我想您在FPGA方面没有很多经验。我建议,选择与使用凿子的开源项目相同的板。这种方法适用于您最终选择的任何语言(无论是否凿凿),选择该语言的开源并采用相同的平台。

来自文档:https://www.chisel-lang.org/ “ Chisel在Scala编程语言中添加了硬件构造基元,为设计人员提供了现代编程语言的强大功能,可编写可生成可综合Verilog的复杂的,可参数化的电路生成器。”

主要的FPGA供应商工具:

Xilinx's vivado =>检查第7页,支持:VHDL,verilog,系统verilog

Intel's quartus =>第54页,支持:VHDL,verilog,系统verilog

Mentors's questa =>支持VHDL,Verilog,SystemVerilog,PSL,SystemC

开源编译器/模拟器:

Verilator支持:verilog,系统verilog

yosys支持:Verilog-2005

相关问答

错误1:Request method ‘DELETE‘ not supported 错误还原:...
错误1:启动docker镜像时报错:Error response from daemon:...
错误1:private field ‘xxx‘ is never assigned 按Alt...
报错如下,通过源不能下载,最后警告pip需升级版本 Requirem...