ModelSim表示:“在“ nand”附近:vcom-1576期待';'”

问题描述

我只是在ModelSim中写的。

library ieee;
use ieee.std_logic_1164.all;

entity nand3 is
    port (
        a : in  std_logic;
        b : in  std_logic;
        c : in  std_logic;
        o : out std_logic
    );
end nand3;

architecture arc of nand3 is
begin

    o <= a nand b nand c;

end arc;

这是三和门 而当我编译这是错误日志:

near "nand": (vcom-1576) expecting ';'.

解决方法

暂无找到可以解决该程序问题的有效方法,小编努力寻找整理中!

如果你已经找到好的解决方法,欢迎将解决方案带上本链接一起发送给小编。

小编邮箱:dio#foxmail.com (将#修改为@)