有没有办法在SystemVerilog中打印变量名?

问题描述

我想打印变量名以及大量信号的值。 例如

signal_a = 2,signal_b = 3,...

当前,我正在使用以下方法,在该方法中,我还将信号名称作为字符串传递。

module top();
    logic signal_a=2;
    logic signal_b=3;

    initial begin
        print_sig(signal_a,"signal_a");
        print_sig(signal_b,"signal_b");
    end

    task print_sig(logic sig,string sig_name);
        $display("%s = %0b",sig_name,sig);
    endtask
endmodule

有没有一种方法可以某种方式打印变量的名称,而不是像上面的代码中那样将名称作为字符串传递?

由于我需要对大量信号进行此操作,因此以下方法会更好

print_sig(signal_a);
print_sig(signal_b);
...

我尝试了%m。但是它仅显示函数或对象的层次结构名称,而不显示变量名称。

解决方法

暂无找到可以解决该程序问题的有效方法,小编努力寻找整理中!

如果你已经找到好的解决方法,欢迎将解决方案带上本链接一起发送给小编。

小编邮箱:dio#foxmail.com (将#修改为@)

相关问答

依赖报错 idea导入项目后依赖报错,解决方案:https://blog....
错误1:代码生成器依赖和mybatis依赖冲突 启动项目时报错如下...
错误1:gradle项目控制台输出为乱码 # 解决方案:https://bl...
错误还原:在查询的过程中,传入的workType为0时,该条件不起...
报错如下,gcc版本太低 ^ server.c:5346:31: 错误:‘struct...