Xilinx ISE综合时序报告中的PINNAME到PINNAME数据路径是什么意思?

问题描述

我用以下VHDL代码编写了一个简单的DFF:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity DFF is
    port (d: in  STD_LOGIC;
          q: out  STD_LOGIC;
          clk: in  STD_LOGIC;
          reset: in  STD_LOGIC);
end DFF;

architecture Behavioral of DFF is
begin
    process (reset,clk) begin
        if (reset = '1') then 
            q <= '0';
        elsif (rising_edge(clk)) then 
            q <= d;
        end if;
    end process;
end Behavioral;

我随后进行模拟和综合。当我检查综合报告时,在“时序报告”部分中将显示以下内容:

=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'clk'
  Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Offset:              3.597ns (Levels of Logic = 1)
  Source:            q (FF)
  Destination:       q (PAD)
  Source Clock:      clk rising

  Data Path: q to q
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
     FDC:C->Q              1   0.447   0.579  q (q_OBUF)
     OBUF:I->O                 2.571          q_OBUF (q)
    ----------------------------------------
    Total                      3.597ns (3.018ns logic,0.579ns route)
                                       (83.9% logic,16.1% route)

=========================================================================

q至q数据路径表示什么,甚至意味着什么?

解决方法

暂无找到可以解决该程序问题的有效方法,小编努力寻找整理中!

如果你已经找到好的解决方法,欢迎将解决方案带上本链接一起发送给小编。

小编邮箱:dio#foxmail.com (将#修改为@)

相关问答

错误1:Request method ‘DELETE‘ not supported 错误还原:...
错误1:启动docker镜像时报错:Error response from daemon:...
错误1:private field ‘xxx‘ is never assigned 按Alt...
报错如下,通过源不能下载,最后警告pip需升级版本 Requirem...