问题描述
我为DFF编写了一个简单的VHDL代码,并在此处输入了异步复位:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity DFF is
port (d: in STD_LOGIC;
q: out STD_LOGIC;
clk: in STD_LOGIC;
reset: in STD_LOGIC);
end DFF;
architecture Behavioral of DFF is
begin
process (reset,clk) begin
if (reset = '1') then
q <= '0';
elsif (rising_edge(clk)) then
q <= d;
end if;
end process;
end Behavioral;
具有异步复位“控制”引脚。 时序报告中有一个小节叫做“异步控制信号信息” 这是它的内容:
Asynchronous Control Signals Information:
----------------------------------------
No asynchronous control signals found in this design
如果此部分不包括异步重置,那么应该包括什么?
解决方法
暂无找到可以解决该程序问题的有效方法,小编努力寻找整理中!
如果你已经找到好的解决方法,欢迎将解决方案带上本链接一起发送给小编。
小编邮箱:dio#foxmail.com (将#修改为@)