问题描述
参数化记录元素的最佳方法是什么?
例如:
我有这个组成部分:
component C1 is
port (
clk : in std_logic;
reset_n : in std_logic;
cam : out t_CAM_OUT
);
end component C1;
类型t_CAM_OUT
在包中定义:
package camera_signals_pkg is
-- Output signals related to camera
type t_CAM_OUT is record
...
pixel_data : std_logic_vector(31 downto 0);
...
end record t_CAM_OUT;
end package camera_signals_pkg;
但是我想参数化信号 pixel_data
在组件 C1 的一个实例中,我要求 pixel_data 为16位,而在另一实例中,它必须为32位。
什么是最好的方法?
解决方法
暂无找到可以解决该程序问题的有效方法,小编努力寻找整理中!
如果你已经找到好的解决方法,欢迎将解决方案带上本链接一起发送给小编。
小编邮箱:dio#foxmail.com (将#修改为@)