VIVADO测试平台正在输出一条错误消息,指出顶部是一个黑匣子

问题描述

我正在尝试通过编写一个非常简单的SOP来学习如何使用FPGA。 TOP文件运行良好,当我生成比特流并将代码放到开发板上时,一切正常。但是后来我想测试模拟(我知道我应该以前做过,但是我只是在测试要学习的功能),并且当测试台运行时,我只能看到我在测试台中声明的信号。我在一些论坛上发现您需要链接测试平台和顶级文件,我做到了,但是仍然没有将它们链接在一起。

顶级文件:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity TOP is
    Port ( 
        SW      : in    STD_LOGIC_VECTOR (3 downto 0);
        LED     : out   STD_LOGIC_VECTOR (1 downto 0)
        
        );
end TOP;

architecture Behavioral of TOP is

begin


LED(0) <= (NOT(SW(3)) AND SW(2) AND NOT(SW(1)) AND NOT(SW(0))) OR (SW(3) AND NOT(SW(2)) AND NOT(SW(1)) AND NOT(SW(0))) OR (SW(3) AND NOT(SW(2)) AND NOT(SW(1)) AND SW(0)) OR (SW(3) AND SW(2) AND NOT(SW(1)) AND NOT(SW(0)))OR (SW(3) AND SW(2) AND NOT(SW(1)) AND SW(0)) OR (SW(3) AND SW(2) AND SW(1) AND NOT(SW(0)));
end Behavioral;

测试平台文件:


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;



entity TestBench1 is
--  Port ( );
end TestBench1;

architecture Behavioral of TestBench1 is

component TOP1 is
    Port ( 
        SW      : in    STD_LOGIC_VECTOR (3 downto 0);
        LED     : out   STD_LOGIC_VECTOR (1 downto 0)
        );
end component;


signal SW      :     STD_LOGIC_VECTOR (3 downto 0);
signal LED     :     STD_LOGIC_VECTOR (1 downto 0);


begin


uut: TOP1 PORT MAP(
    SW => SW,LED => LED
    );
    

simulation_process :process
begin
    SW(3 downto 0) <= "0000";
    wait for 100ns;
    SW(3 downto 0) <= "1000";
    wait;

end process;


end Behavioral;

给出的错误是这样的: [VRFC 10-4940]由于'top1'没有绑定实体,因此它仍然是一个黑匣子

有人可以帮助我发现我的错误吗? NB:对不起,如果我说的话没有道理。这是我第一次编码FPGA。

谢谢,感谢您的帮助

解决方法

暂无找到可以解决该程序问题的有效方法,小编努力寻找整理中!

如果你已经找到好的解决方法,欢迎将解决方案带上本链接一起发送给小编。

小编邮箱:dio#foxmail.com (将#修改为@)

相关问答

错误1:Request method ‘DELETE‘ not supported 错误还原:...
错误1:启动docker镜像时报错:Error response from daemon:...
错误1:private field ‘xxx‘ is never assigned 按Alt...
报错如下,通过源不能下载,最后警告pip需升级版本 Requirem...