Xilinx - Vivado 项目:VGA IO 不工作

问题描述

我是 Xilinx-Vivado 的新手。所以目前我们只需要看看 Vivado 和 SDK 是如何使用 Zybo Zynq-7000 Board 工作的。我在网上搜索,找到了一个带有VGA IO的项目。神奇的是,我上学的时候居然就去上班了,但由于现在的情况,我们得不到太多帮助,现在我一个人在家。

This 是项目。

首先我想问一下下面的控制台告诉我什么?

  1. 我生成了比特流,然后导出了包含比特流的硬件,最后我启动了 SDK。在 SDK 上,我对 FPGA 进行了编程,然后将项目作为 Launch as Hardware(系统调试器和 GDB)运行。 我就是这样做的: Image1

  2. 还有配置: Image2

  3. 我通过控制台得到的输出是: Image3

对于我的主要问题,我已将所有电缆连接到所需的 Zybo Board;从我的笔记本电脑到 FPGA 的 USB 电缆和从 FPGA 到我的显示器屏幕的 VGA 电缆。问题是我的显示器上没有任何输出,我是否必须启用某些功能才能使从 FPGA 到显示器的 VGA 电缆正常工作?

解决方法

这最终归结为标准调试。我只能给出几个建议。

首先,确认您的设计在模拟中工作;检查您的输出(尤其是同步信号)是否按预期工作。

接下来确认您的 IO 约束设置正确,并且您在板上使用了正确的 IO 引脚。

如果这些看起来都正确,理想情况下您可以使用信号分析仪,但在当前情况下这听起来不太可能。作为替代方案,您可以考虑使用 ILA(如芯片示波器)来探测信号并在硬件中查看它们的监控情况。

最后,很明显,确保所有电缆都正确连接。

祝设计顺利。

相关问答

错误1:Request method ‘DELETE‘ not supported 错误还原:...
错误1:启动docker镜像时报错:Error response from daemon:...
错误1:private field ‘xxx‘ is never assigned 按Alt...
报错如下,通过源不能下载,最后警告pip需升级版本 Requirem...