SystemVerilog 中的 always_ff,它是如何工作的?

问题描述

给定以下代码

1 always_ff @(posedge clk) begin
2     z1<=y1; y1<=x1;
3     z3=y3; y3=x3;
4 end

哪种说法是正确的? (我写它们是为了理解)

  1. 1 号线和 2 号线同时连接,然后我们才到达 3 号线。

  2. 先连接3号线,然后1号线和2号线同时连接起来。

  3. 第 1 & 2 & 3 行都同时连接(大多不正确)。

如果我把代码改成:

1 always_ff @(posedge clk) begin
2     z3=y3; y3=x3;
3     z1<=y1; y1<=x1;
4 end

我在 always_ff 中读到,只要我们使用 ANY 资源我同时使用阻塞和非阻塞的特定情况。

解决方法

暂无找到可以解决该程序问题的有效方法,小编努力寻找整理中!

如果你已经找到好的解决方法,欢迎将解决方案带上本链接一起发送给小编。

小编邮箱:dio#foxmail.com (将#修改为@)

相关问答

Selenium Web驱动程序和Java。元素在(x,y)点处不可单击。其...
Python-如何使用点“。” 访问字典成员?
Java 字符串是不可变的。到底是什么意思?
Java中的“ final”关键字如何工作?(我仍然可以修改对象。...
“loop:”在Java代码中。这是什么,为什么要编译?
java.lang.ClassNotFoundException:sun.jdbc.odbc.JdbcOdbc...