当 vctrs 对象不是 c() 中的第一个参数时,不会调用 vec_c

问题描述

我正在尝试使用 vctrs 包在 R 中构建一个新的向量类,但无法使 c() 正常工作。 c(my_vector_class,character()) 正确调用vec_c,但 c(character(),my_vector_class) 忽略了我试图实现的所有 vctrs 强制转换规则。

当新类不是第一个参数时,通常无法用 c() 控制强制转换,还是我应该继续尝试调试?

它在小插图中说:“对于 c(),您还将获得大部分正确的行为。例外情况是当您将 c() 与基 R 类一起使用时”。这是否意味着无法控制 c(some_base_class,my_vctrs_class) 的行为?

谢谢

解决方法

暂无找到可以解决该程序问题的有效方法,小编努力寻找整理中!

如果你已经找到好的解决方法,欢迎将解决方案带上本链接一起发送给小编。

小编邮箱:dio#foxmail.com (将#修改为@)