Vivado - 请解释为什么在我的行为测试中得到 U?

问题描述

[this is whats in my design source][1]   
 

    library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

-- 如果使用,则取消注释以下库声明 -- 带符号或无符号值的算术函数

--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity testbench_2 is
--  Port ( );
end testbench_2;

architecture Behavioral of testbench_2 is
component source_2 is
    Port ( A : in STD_LOGIC_VECTOR (3 downto 0);
           B : in STD_LOGIC_VECTOR (3 downto 0);
           X : out STD_LOGIC);
end component;
signal  S1,S2,S3,S4 : std_logic := '0';
signal X : std_logic; 
signal A,B : STD_LOGIC_VECTOR (3 downto 0);

begin
uut : source_2 PORT MAP(A=>A,B=>B,X=>X);
process
begin
S1 <= '0'; S2 <= '1'; S3 <= '1'; S4 <= '0';
wait for 100ns;

S1 <= '1'; S2 <= '0'; S3 <= '1'; S4 <= '0';
wait for 100ns;

S1 <= '0'; S2 <= '0'; S3 <= '1'; S4 <= '1';
wait for 100ns;

wait;
end process;
end Behavioral;

不知道为什么我在我的行为测试中得到了 U。我在设置测试台时遇到问题。有人能解释一下我为什么会遇到这个问题吗? Array U that is showing up

解决方法

暂无找到可以解决该程序问题的有效方法,小编努力寻找整理中!

如果你已经找到好的解决方法,欢迎将解决方案带上本链接一起发送给小编。

小编邮箱:dio#foxmail.com (将#修改为@)

相关问答

错误1:Request method ‘DELETE‘ not supported 错误还原:...
错误1:启动docker镜像时报错:Error response from daemon:...
错误1:private field ‘xxx‘ is never assigned 按Alt...
报错如下,通过源不能下载,最后警告pip需升级版本 Requirem...