如何在 vhdl

问题描述

我正在尝试用全零初始化大小为 4 的 VHDL 数组。请让我知道我该怎么做?


entity js is
   port (
        clk: in std_logic;                      ----------  clock
        S1_vec : in  t_1d_array;                ----------  S1 vector/array of cross-section 4 [0 0 0 0]
        S2_vec : in  t_1d_array;                ----------  S2 Vector/array of cross-section 4 [0 0 0 0]
        J_outp : out integer            
        );
end js;

解决方法

暂无找到可以解决该程序问题的有效方法,小编努力寻找整理中!

如果你已经找到好的解决方法,欢迎将解决方案带上本链接一起发送给小编。

小编邮箱:dio#foxmail.com (将#修改为@)