检测到 VHDL Numeric_STD 元值

问题描述

所以我正在编写一些 VHDL 并且我所有的比较都引发了这个错误(其中“=”被我在这个比较中使用的任何运算符替换)。

WARNING: NUMERIC_STD."=": Metavalue detected,returning FALSE

据说这是由于其中一个值未初始化或无法进行比较引起的,但我真的不知道这是怎么回事。我在下面包含了我的代码(Temp_EA 和 Temp_EB 都是未签名的(7 到 0)

  Temp_EA <= "10001000";
  Temp_EB <= "10000000";
  
  Name : process (Temp_EA,Temp_EB)
  begin
  
    if Temp_EA > Temp_EB then
    
    -- Code
    
    else
    
    -- Code
    
    end if;
    
  end process;

解决方法

暂无找到可以解决该程序问题的有效方法,小编努力寻找整理中!

如果你已经找到好的解决方法,欢迎将解决方案带上本链接一起发送给小编。

小编邮箱:dio#foxmail.com (将#修改为@)