打开 .vcd 文件时出错无此文件或目录

问题描述

我的 Verilog 代码存储在 C:\FA 中。一共有三个文件

FA.v,fa.vvp,TM_FA.v

我按照我的书步骤进行。

  1. iverilog -o fa.vvp
  2. vvp fa.vvp
  3. 完成
  4. getwave fa.vcd &

当我用 getwave fa.vcd & 模拟它时,它显示

Error opening  .vcd file 'fa.vcd'.
Why: No such file or directory

我一开始用的是Icarus和GTKwave,后来不知道怎么修。

解决方法

您需要在 Verilog 测试平台中添加代码以明确告诉 iverilog 创建 VCD 文件。 The iverilog documentation states

// Do this in your test bench

initial
 begin
    $dumpfile("test.vcd");
    $dumpvars(0,test);
 end