为什么它说“ERROR:HDLCompiler:806 - “C:\Users\dell\Desktop\EE240\lab5\deniyoruz\eponentmunber.vhd” 第 26 行:“结束”附近的语法错误

问题描述

图书馆IEEE; 使用 IEEE.STD_LOGIC_1164.ALL;

实体指数为 港口 ( 指数:在 STD_LOGIC_VECTOR(2 DOWNTO 0) 中; 二元:输出 STD_LOGIC_VECTOR(7 DOWNTO 0));

结束指数;

exponentnumber 的架构数据流是

开始 指数选择 binaryy

结束数据流;

解决方法

暂无找到可以解决该程序问题的有效方法,小编努力寻找整理中!

如果你已经找到好的解决方法,欢迎将解决方案带上本链接一起发送给小编。

小编邮箱:dio#foxmail.com (将#修改为@)