如何使用 UVM 验证信号之间的延迟?

问题描述

我有很多信号想要验证它们之间的延迟。

例如,让我们定义名为 X_Y_DELAY 的寄存器,它配置从 X 信号的上升到 Y 信号的时间。

我想确保我写入 X_Y_DELAY 寄存器的值确实是信号增加间的时间。

我想知道正确的方法是在信号到达时通过 uvm 记分板还是通过监视器?

谢谢

解决方法

这真的最好在界面本身的断言中完成。