禁用 UVM 发行说明

问题描述

每次我使用 UVM 运行 ModelSim 时,我都会收到此消息:

#   ***********       IMPORTANT RELEASE NOTES         ************
#
#   You are using a version of the UVM library that has been compiled
#   with `UVM_NO_DEPRECATED undefined.
#   See http://www.eda.org/svdb/view.PHP?id=3313 for more details.
#
#   You are using a version of the UVM library that has been compiled
#   with `UVM_OBJECT_MUST_HAVE_CONSTRUCTOR undefined.
#   See http://www.eda.org/svdb/view.PHP?id=3770 for more details.
#
#       (Specify +UVM_NO_RELNOTES to turn off this notice)

我想禁用它。我试过了:

  • +UVM_NO_RELNOTES 导出为环境变量:export UVM_NO_RELNOTESexport +UVM_NO_RELNOTESexport +UVM_NO_RELNOTES+1
  • +UVM_NO_RELNOTES 作为 -d 标志添加到 ModelSim
  • +UVM_NO_RELNOTES 添加vsim 命令行的末尾

以上均未禁用此消息。

我该如何关闭通知? “指定”这个词太含糊了。

解决方法

暂无找到可以解决该程序问题的有效方法,小编努力寻找整理中!

如果你已经找到好的解决方法,欢迎将解决方案带上本链接一起发送给小编。

小编邮箱:dio#foxmail.com (将#修改为@)